arithmetic/src/basictypes/integer/Cardinal8.i3


INTERFACE Cardinal8;
Arithmetic for Modula-3, see doc for details

Abstract: Integer type for instantiation with IntegerBasic.ig


TYPE T = BITS 8 FOR [0 .. 16_FF];

END Cardinal8.